[Issue 7566] compiler works incorrectly when debug{} statements are present, ver 2.057

d-bugmail at puremagic.com d-bugmail at puremagic.com
Thu Feb 23 18:15:54 PST 2012


http://d.puremagic.com/issues/show_bug.cgi?id=7566


yebblies <yebblies at gmail.com> changed:

           What    |Removed                     |Added
----------------------------------------------------------------------------
                 CC|                            |yebblies at gmail.com


--- Comment #4 from yebblies <yebblies at gmail.com> 2012-02-24 13:15:51 EST ---
(In reply to comment #3)
> I am expecting to see somewhat like 
> 
> ./d_compiler_bug_around_debug.d(10): found 'else' instead of statement
> ./d_compiler_bug_around_debug.d(13): unrecognized declaration
> 
> when compiled with debug key and nothing when compiled without.
> I believe my expectations are correct ...

The following is valid syntax:

debug { } else { }

Although I don't think I've ever wanted to use an else block on a debug
statement.

-- 
Configure issuemail: http://d.puremagic.com/issues/userprefs.cgi?tab=email
------- You are receiving this mail because: -------


More information about the Digitalmars-d-bugs mailing list