[Issue 10475] destructor is called on 'for' loop variable even when initialization failed

d-bugmail at puremagic.com d-bugmail at puremagic.com
Tue Jul 2 00:44:00 PDT 2013


http://d.puremagic.com/issues/show_bug.cgi?id=10475



--- Comment #6 from github-bugzilla at puremagic.com 2013-07-02 00:43:41 PDT ---
Commits pushed to master at https://github.com/D-Programming-Language/dmd

https://github.com/D-Programming-Language/dmd/commit/6c7d77f690f509255950321e3e0fec9f29d02df6
fix Issue 10475 - destructor is called on 'for' loop variable even when
initialization failed

https://github.com/D-Programming-Language/dmd/commit/aaf64112624abab1f6cc8f610223f6e12b525e09
Merge pull request #2286 from 9rnsr/fix10475

Issue 10475 - destructor is called on 'for' loop variable even when
initialization failed

-- 
Configure issuemail: http://d.puremagic.com/issues/userprefs.cgi?tab=email
------- You are receiving this mail because: -------


More information about the Digitalmars-d-bugs mailing list