[Issue 10475] destructor is called on 'for' loop variable even when initialization failed

d-bugmail at puremagic.com d-bugmail at puremagic.com
Wed Jun 26 08:43:38 PDT 2013


http://d.puremagic.com/issues/show_bug.cgi?id=10475


Maxim Fomin <maxim at maxim-fomin.ru> changed:

           What    |Removed                     |Added
----------------------------------------------------------------------------
                 CC|                            |maxim at maxim-fomin.ru


--- Comment #1 from Maxim Fomin <maxim at maxim-fomin.ru> 2013-06-26 08:43:37 PDT ---
I didn't dig this, but it looks like a dup of issue 8563

-- 
Configure issuemail: http://d.puremagic.com/issues/userprefs.cgi?tab=email
------- You are receiving this mail because: -------


More information about the Digitalmars-d-bugs mailing list