[Issue 13775] Broken explicit casting of dynamic array slices of known size to static array of different type

via Digitalmars-d-bugs digitalmars-d-bugs at puremagic.com
Tue Dec 2 06:16:34 PST 2014


https://issues.dlang.org/show_bug.cgi?id=13775

--- Comment #8 from Steven Schveighoffer <schveiguy at yahoo.com> ---
OK, so noted.

I filed a request to make this a proper ICE:
https://issues.dlang.org/show_bug.cgi?id=13810

--


More information about the Digitalmars-d-bugs mailing list