[Issue 13868] Types with the same name in different packages produce poor diagnostics

via Digitalmars-d-bugs digitalmars-d-bugs at puremagic.com
Tue Dec 16 00:20:00 PST 2014


https://issues.dlang.org/show_bug.cgi?id=13868

Ketmar Dark <ketmar at ketmar.no-ip.org> changed:

           What    |Removed                     |Added
----------------------------------------------------------------------------
                 CC|                            |ketmar at ketmar.no-ip.org

--- Comment #1 from Ketmar Dark <ketmar at ketmar.no-ip.org> ---
i dare to say that it will be helpful to emit module name for any symbol that
is not declared in the current module. 'cause simply importing std.string can
cause this: http://forum.dlang.org/thread/zfrkhfumvajcyeibyqus@forum.dlang.org
and it's not obvious where that `count` came from.

--


More information about the Digitalmars-d-bugs mailing list