[Issue 3652] Allow explicit and implicit casting of dynamic array slices of known size to static array

via Digitalmars-d-bugs digitalmars-d-bugs at puremagic.com
Thu Jan 1 04:53:40 PST 2015


https://issues.dlang.org/show_bug.cgi?id=3652

--- Comment #8 from github-bugzilla at puremagic.com ---
Commits pushed to master at https://github.com/D-Programming-Language/dlang.org

https://github.com/D-Programming-Language/dlang.org/commit/243a97c3ebde92cd3c3f99963e39591fc307fff3
Issue 3652 - Allow explicit and implicit casting of dynamic array slices of
known size to static array

https://github.com/D-Programming-Language/dlang.org/commit/900cd04b373192feea7d682150eabe10e34b793f
Merge pull request #725 from 9rnsr/fix3652

Issue 3652 - Allow explicit and implicit casting of dynamic array slices of
known size to static array

--


More information about the Digitalmars-d-bugs mailing list