static init of associative array of enums not working.. why?

Adam D. Ruppe via Digitalmars-d-learn digitalmars-d-learn at puremagic.com
Fri Feb 26 20:43:13 PST 2016


On Saturday, 27 February 2016 at 04:37:24 UTC, Øivind wrote:
> Should I file a ticket for this?

It is already known, just nobody has fixed it yet (and probably 
won't for a long time still)


More information about the Digitalmars-d-learn mailing list