Top level associative arrays

ANtlord via Digitalmars-d-learn digitalmars-d-learn at puremagic.com
Tue May 2 07:41:27 PDT 2017


On Tuesday, 2 May 2017 at 14:37:20 UTC, ANtlord wrote:
> On Tuesday, 2 May 2017 at 12:41:01 UTC, Jacob Carlborg wrote:
>>
>> Note that when declared as "enum", all places it's referenced, 
>> a new associative array will be allocated.
>
> If it is allocated at all places I can move initialization to 
> module ctor as says evilrat but how can I make an immutable 
> associative array?

I think it will be more suitable to create singleton of structure.


More information about the Digitalmars-d-learn mailing list