Benchmarking sigmoid function between C and D

kinke noone at nowhere.com
Sat Apr 7 23:48:36 UTC 2018


On Saturday, 7 April 2018 at 20:33:13 UTC, Arun Chandrasekaran 
wrote:
> Much better with mir.math.common, still a bit slower than C 
> (even with larger loops):

As this appears to be benchmarking mostly the std.math.exp(float) 
performance - some/many basic algos in std.math, incl. exp(), are 
currently using the x87 FPU for all 3 floating-point types, 
although there are treacherous float/double overloads, 
sacrificing performance in many cases.
See 
https://github.com/dlang/phobos/pull/6272#issuecomment-373967109 
(and the later numbers for current Intel and GCC compilers) for a 
performance comparison of some std.math algos incl. exp(). For 
LDC, the double/float versions of the few worked-on algos in that 
PR were sped-up by an overall factor of 3 [but only by 1.46 for 
exp(float)].


More information about the Digitalmars-d-learn mailing list