switch case expressions

Steven Schveighoffer via Digitalmars-d digitalmars-d at puremagic.com
Thu Apr 23 14:11:26 PDT 2015


On 4/23/15 5:04 PM, Jonathan M Davis via Digitalmars-d wrote:
> On Thursday, April 23, 2015 16:29:03 Steven Schveighoffer via Digitalmars-d wrote:
>> On 4/23/15 4:25 PM, bearophile wrote:
>>> Martin Krejcirik:
>>>
>>>> So, should the case b compile or not ? Is the spec too restrictive
>>>> here, or is it a bug ?
>>>
>>> Apparently it's a WONTFIX mess. The spec should be updated.
>>> Walter&Andrei refused to fix a design bug here.
>>
>> Source?
>>
>> IMO, the OP code does not warrant the errors cited.
>
> Well, there's this mess
>
> https://issues.dlang.org/show_bug.cgi?id=6176
>
> but I don't see anything in there from Walter or Andrei, so I'm not sure
> what Bearophile is referring to. Personally though, I wish that case
> statements only allowed compile-time constants... :|
>
> - Jonathan M Davis
>

Found it by following some references:

https://github.com/D-Programming-Language/dmd/pull/2887

Ugh...

at the VERY LEAST, the error message should be fixed, as "can't be read 
at compile time" is clearly not the issue.

-Steve


More information about the Digitalmars-d mailing list